Welcome![Sign In][Sign Up]
Location:
Search - PS2 mouse FPGA

Search list

[Driver DevelopKEY_PS2

Description: PS2键盘。鼠标驱动-PS2 keyboard. Mouse Drivers
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-Verilogkeyboard_ps2_verilog

Description: 键盘鼠标的原代码,用FPGA实现,使用Verilog HDL编写,已经使用FPGA验正过了,完全可以用-keyboard and mouse of the original code, using FPGA, using Verilog HDL preparation, already in use FPGA-mortem is over, it can be used
Platform: | Size: 1480704 | Author: wpb3dm | Hits:

[VHDL-FPGA-Verilogopb_ps2_dual_ref_v1_00_a

Description: 基于Xilinx FPGA实现PS2键盘鼠标接口。版本1.0-Based on Xilinx FPGA realize PS2 keyboard and mouse interface. Version 1.0
Platform: | Size: 16384 | Author: 张力 | Hits:

[Embeded-SCM DevelopEXPT12_11_Ps2Key

Description: 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标键盘控制模块-FPGA and SOPC based on the use of VHDL language EDA s PS/2 mouse keyboard control module
Platform: | Size: 33792 | Author: 多幅撒 | Hits:

[VHDL-FPGA-VerilogSourceFile

Description: PS2鼠标实验Verilog HDL代码-PS2 mouse experiments Verilog HDL code
Platform: | Size: 4096 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-Verilogps2core

Description: 一个ps2键盘鼠标的Host Controller。实现接收键盘及鼠标发送的数据的要求。基于FPGA。-A ps2 keyboard and mouse of the Host Controller. Realize receive keyboard and mouse to send data requirements. Based on the FPGA.
Platform: | Size: 20480 | Author: 颜新卉 | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: 花了半个月才改好的Atera DE1/DE2 ps2 IP 驱动核。放在FPGA工程目录下可以直接使用。本IP能够驱动PS/2键盘和鼠标。使用时只要调用HAL目录下的文件即可以直接使用!-Spent a good two weeks we have made some changes Atera DE1/DE2 ps2 IP-driven nuclear. On the FPGA project directory can be used directly. The IP to drive PS/2 keyboard and mouse. When used as long as the call HAL directory file that can be used directly!
Platform: | Size: 27648 | Author: 王乔 | Hits:

[VHDL-FPGA-Verilogps2

Description: ps2接口源程序。标准的键盘和鼠标接口,在Xilinx SpartanII XC2S200 实验板上通过验证-ps2 interface source. Standard keyboard and mouse interface, in the experiments on-board Xilinx SpartanII XC2S200 validated
Platform: | Size: 23552 | Author: Alex | Hits:

[VHDL-FPGA-VerilogPS2-Mouse

Description: ps2-mouse port in fpga board
Platform: | Size: 729088 | Author: stupidme | Hits:

[VHDL-FPGA-Verilogep1c6_35_ps2_keyboard_test

Description: ps2 鼠标的FPGA实现,用VHDL语言在epc16上测试通过-ps2 mouse FPGA, VHDL, language epc16 the test
Platform: | Size: 171008 | Author: yangshuzhi | Hits:

[VHDL-FPGA-Verilogmouse

Description: Source code PS2 mouse for Xilinx FPGA Spartan 3E.
Platform: | Size: 2048 | Author: sim210 | Hits:

[VHDL-FPGA-Verilogps2_mouse_interface

Description: ps2接口的鼠标与vga接口的驱动程序,Verilog HDL语言,运用于FPGA-ps2_mouse_interface and vga in Verilog HDL language, applied to FPGA
Platform: | Size: 5120 | Author: 吴笑 | Hits:

[VHDL-FPGA-Verilogps2

Description: FPGA的ps2鼠标键盘接口(NiosII组件),verilog语言编写-Ps2 mouse and keyboard interface to the FPGA (NiosII components), verilog language
Platform: | Size: 2048 | Author: 陈明 | Hits:

[VHDL-FPGA-VerilogPS2-mouse

Description: 用VHDL语言实现一个简单的PS/2接口鼠标的控制,下载到FPGA芯片中,实现鼠标初始化,并对鼠标数据传输进行监控,输出一个鼠标光标地址和按钮状态。-With VHDL a simple PS/2 interface mouse control, downloaded to the FPGA chip, the realization of the mouse initialization, monitor and mouse data transmission, the output of a mouse cursor address and button status.
Platform: | Size: 15360 | Author: chen | Hits:

[Software EngineeringSpecifiche-Mouse-PS2

Description: PS/2 Mouse Specifications (ITA): this pdf explains how to interface a standard PS/2 mouse to a custom logic system, for example a FPGA system described in VHDL.
Platform: | Size: 507904 | Author: Lorenzo | Hits:

[Driver Developps2mouse

Description: 基于FPGA的PS2鼠标驱动,代码采用verilog语言。-the driver of ps2 mouse
Platform: | Size: 4096 | Author: 印俊 | Hits:

[VHDL-FPGA-Verilogmouse_vga_2.0

Description: 基于CycloneIII FPGA开发的PS2鼠标和VGA接口逻辑,采用Verilog编写。-CycloneIII FPGA-based development of the PS2 mouse and VGA interface logic, using Verilog.
Platform: | Size: 3448832 | Author: 鲁文帅 | Hits:

[VHDL-FPGA-VerilogPS2mouse

Description: 应用FPGA开发版的PS2鼠标处理模块,主要讲输入的鼠标ps2_clk ps2_data信号转换为x y方向上的相对位移量-Application development version of PS2 mouse FPGA processing module, the main speaker mouse ps2_clk ps2_data input signal is converted to a relative displacement of the x y direction
Platform: | Size: 3072 | Author: 吴佳祥 | Hits:

[VHDL-FPGA-VerilogPS2shubiao

Description: 基于FPGA的PS2鼠标项目 EP4CE系列-PS2 mouse project based on FPGA
Platform: | Size: 551936 | Author: liagnlin | Hits:

[Embeded-SCM DevelopPS2鼠标VGA画笔

Description: 鼠标连接到FPGA开发板PS2, 通过VGA显示鼠标移到。(The mouse is connected to the FPGA development board PS2, and the mouse is moved through the VGA.)
Platform: | Size: 17263616 | Author: 你在猜猜看 | Hits:
« 12 »

CodeBus www.codebus.net